Platzhalterbild der Organisationseinheit

Laboratorium für Informationstechnologie (LFI)

Organisation: frühere Organisationseinheit

Forschungs-netzwerk anzeigen

Publikationen

  1. 2000
  2. Veröffentlicht

    Single-chip video signal processing system with embedded DRAM

    Hilgenstock, J., Herrmann, K., Moch, S. & Pirsch, P., 2000, in: IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation. S. 23-32 10 S.

    Publikation: Beitrag in FachzeitschriftKonferenzaufsatz in FachzeitschriftForschungPeer-Review

  3. 1999
  4. Veröffentlicht

    Instruction Set Extensions for MPEG-4 Video

    Berekovic, M., Stolberg, H. J., Kulaczewski, M. B., Pirsch, P., Möller, H., Runge, H., Kneip, J. & Stabernack, B., 1 Okt. 1999, in: Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology. 23, 1, S. 27-49 23 S.

    Publikation: Beitrag in FachzeitschriftArtikelForschungPeer-Review

  5. Veröffentlicht

    Cellular multiprocessor arrays with adaptive resource utilization

    Stolberg, H. J., Ohmacht, M. & Pirsch, P., 26 Feb. 1999, Parallel Computation : 4th International ACPC Conference Including Special Tracks on Parallel Numerics (ParNum 1999) and Parallel Computing in Image Processing, Video Processing, and Multimedia, Proceedings. Zinterhof, P., Vajteršic, M. & Uhl, A. (Hrsg.). Springer Verlag, S. 480-489 10 S. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); Band 1557).

    Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

  6. Veröffentlicht

    A 1.3 GOPS parallel DSP for high performance image processing applications

    Hinrichs, W., Wittenburg, J. P., Lieske, H., Kloos, H., Ohmacht, M., Kneip, J., Rönner, K. & Pirsch, P., 1999, in: European Solid-State Circuits Conference. S. 102-105 4 S., 1471106.

    Publikation: Beitrag in FachzeitschriftKonferenzaufsatz in FachzeitschriftForschungPeer-Review

  7. Veröffentlicht

    An FPGA-based prototyping system for real-time verification of video processing schemes

    Kropp, H., Reuter, C., Wiege, M., Do, T. T. & Pirsch, P., 1999, Field Programmable Logic and Applications : 9th International Workshop, FPL 1999, Proceedings. Lysaght, P., Irvine, J. & Hartenstein, R. W. (Hrsg.). Springer Verlag, S. 333-338 6 S. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); Band 1673).

    Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

  8. Veröffentlicht

    Architecture of a hardware module for MPEG-4 shape decoding

    Berekovic, M., Jacob, K. & Pirsch, P., 1999, Proceedings : IEEE International Symposium on Circuits and Systems. S. I-157 - I-160 (Proceedings - IEEE International Symposium on Circuits and Systems; Band 1).

    Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

  9. Veröffentlicht

    Architectures for multimedia signal processing

    Pirsch, P., 1999, in: IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation. S. 1-12 12 S.

    Publikation: Beitrag in FachzeitschriftKonferenzaufsatz in FachzeitschriftForschungPeer-Review

  10. Veröffentlicht

    Electrical characterization of S/390 MCM packages from S-parameter measurements below 3 GHz

    Ktata, F., Arz, U. & Grabinski, H., 1999, S. 75-78. 4 S.

    Publikation: KonferenzbeitragPaperForschungPeer-Review

  11. Veröffentlicht

    Finite element analysis of thermal-mechanical stress induced failure in interconnects

    Yu, X. & Weide, K., 1999, in: Materials Research Society Symposium - Proceedings. 539, S. 269-274 6 S.

    Publikation: Beitrag in FachzeitschriftKonferenzaufsatz in FachzeitschriftForschungPeer-Review

  12. Veröffentlicht

    High performance digital signal processor for compact realization of real-time synthetic aperture radar systems

    Kloos, H., Wittenburg, J. P., Hinrichs, W., Lieske, H. & Pirsch, P., 1999, S. 17-19. 3 S.

    Publikation: KonferenzbeitragPaperForschungPeer-Review

  13. Veröffentlicht

    Impact of FEM simulation on reliability improvement of packaging

    Weide, K., 1999, in: Microelectronics reliability. 39, 6-7, S. 1079-1088 10 S.

    Publikation: Beitrag in FachzeitschriftKonferenzaufsatz in FachzeitschriftForschungPeer-Review

  14. Veröffentlicht

    Implementation of real-time SAR-systems with a high performance digital signal processor

    Kloos, H., Wittenburg, J. P., Hinrichs, W., Lieske, H. & Pirsch, P., 1999, in: Proceedings of SPIE - The International Society for Optical Engineering. 3871, S. 343-347 5 S.

    Publikation: Beitrag in FachzeitschriftKonferenzaufsatz in FachzeitschriftForschungPeer-Review

  15. Veröffentlicht

    Influence of the substrate resistivity on the broadband propagation characteristics of silicon transmission lines

    Arz, U., Grabinski, H. & Williams, D. F., 1999, 54th ARFTG Conference Digest Fall 1999: Automatic RF Techniques Group: Characterization of Broadband Access Technologies, ARFTG Fall 1999. Institute of Electrical and Electronics Engineers Inc., 4120066. (54th ARFTG Conference Digest Fall 1999: Automatic RF Techniques Group: Characterization of Broadband Access Technologies, ARFTG Fall 1999).

    Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

  16. Veröffentlicht

    Memory organization of a single-chip video signal processing system with embedded DRAM

    Hilgenstock, J., Herrmann, K. & Pirsch, P., 1999, Proceedings of the IEEE Great Lakes Symposium on VLSI. S. 42-45 4 S. (Proceedings of the IEEE Great Lakes Symposium on VLSI).

    Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

  17. Veröffentlicht

    Multithreaded architecture approach to parallel DSPs for high performance image processing applications

    Wittenburg, J. P., Pirsch, P. & Meyer, G., 1999, in: IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation. S. 241-250 10 S.

    Publikation: Beitrag in FachzeitschriftKonferenzaufsatz in FachzeitschriftForschungPeer-Review

  18. 1998
  19. Veröffentlicht

    Alternative approaches implementing high-performance FIR filters on lookup table-based FPGAs: A comparison

    Do, T. T., Kropp, H., Reuter, C. & Pirsch, P., 8 Okt. 1998, in: Proceedings of SPIE - The International Society for Optical Engineering. 3526, S. 248-254 7 S.

    Publikation: Beitrag in FachzeitschriftKonferenzaufsatz in FachzeitschriftForschungPeer-Review

  20. Veröffentlicht

    A new approach for the modeling and simulation of electromagnetic interference

    Nordholz, P. & Grabinski, H., Aug. 1998, in: IEEE Transactions on Components Packaging and Manufacturing Technology Part B. 21, 3, S. 216-224 9 S.

    Publikation: Beitrag in FachzeitschriftArtikelForschungPeer-Review

  21. Veröffentlicht

    Realization of a programmable parallel DSP for high performance image processing applications

    Wittenburg, J. P., Hinrichs, W., Kneip, J., Ohmacht, M., Bereković, M., Lieske, H., Kloos, H. & Pirsch, P., 1 Mai 1998, Proceedings 1998 : Design and Automation Conference, DAC 1998. Institute of Electrical and Electronics Engineers Inc., S. 56-61 6 S. 724439. (Proceedings - Design Automation Conference).

    Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

  22. Veröffentlicht

    A video signal processor for MIMD multiprocessing

    Hilgenstock, J., Herrmann, K., Otterstedt, J., Niggemeyer, D. & Pirsch, P., Mai 1998, Proceedings 1998 : Design and Automation Conference, DAC 1998. Institute of Electrical and Electronics Engineers Inc., S. 50-55 6 S. 724438. (Proceedings - Design Automation Conference).

    Publikation: Beitrag in Buch/Bericht/Sammelwerk/KonferenzbandAufsatz in KonferenzbandForschungPeer-Review

  23. Veröffentlicht

    A multimedia RISC core for efficient bitstream parsing and VLD

    Berekovic, M., Meyer, G., Guo, Y. & Pirsch, P., 26 März 1998, in: Proceedings of SPIE - The International Society for Optical Engineering. 3311, S. 131-141 11 S.

    Publikation: Beitrag in FachzeitschriftKonferenzaufsatz in FachzeitschriftForschungPeer-Review